Все проекты

ГОСТ Р МЭК (проект, первая редакция). Атомные электростанции. Контроль и управление, важные для безопасности. Использование программируемых интегральных схем для применения в системах, выполняющих функции категории А

23 июня 2020 заканчивается 31 июля 2020
  Проект

Разработчик

Акционерное общество «Русатом – Автоматизированные системы управления»

Технический комитет

Международные аналоги

Идентичен международному стандарту МЭК 62566:2012 «Атомные электростанции. Контроль и управление, важные для безопасности. Использование программируемых интегральных схем для применения в системах, выполняющих функции категории А [IEC 62566:2012 Nuclear power plants – Instrumentation and control important to safety – Development of HDL-programmed integrated circuits for systems performing category A functions]»

ОКС/МКС/ISO

ОКС 27.120.20

Описание

Стандарт содержит рекомендации и требования, необходимые для создания верифицируемых проектов и разработок, если требуется обоснование, например, для выполняемой функции или важности безопасности ее поведения.

В стандарте рассматриваются действия по разработке устройств HPD в рамках выделенного жизненного цикла.

1 обсуждение

Приглашаем обсудить проект ГОСТ Р по использованию интегральных схем в системах категории А

Публичное обсуждение проекта продлится до 31 июля 2020 г.

В настоящем стандарте излагаются требования к разработке высоконадежных HDL-программируемых устройств (устройств HPD) для использования в СКУ АС, выполняющих функции безопасности категории А.

В стандарте излагаются требования, касающиеся:

  • а) выделенного жизненного цикла разработки в отношении каждого этапа разработки устройств HPD, в том числе спецификации требований, проектирования, реализации, верификации, интеграции и валидации;
  • б) планирования и дополнительных операций, таких как модификация и производство;
  • в) выбора предварительно разработанных компонентов, в том числе, микроэлектронных ресурсов (например, чистых ПЛИС и СПЛУ) и HDL-операторов, представляющих предварительно разработанные блоки;
  • г) применения детерминированного принципа и принципа простоты, что имеет первостепенное значение для достижения безотказной реализации функций категории А;
  • д) инструментов, используемых для проектирования, реализации и верификации устройств HPD.

NormaCS

Администратор, 23 июня 2020